วันจันทร์ที่ 17 กันยายน พ.ศ. 2555

วงจรเลื่อนข้อมูล(Shift Register)

รีจิสเตอร์ คือ กลุ่มของฟลิปฟลอปที่ต่อเรียงกันและทำงานร่วมกันใช้สำหรับเก็บข้อมูลหรือ เลื่อนข้อมูลรีจิสเตอร์ที่ใช้สำหรับเลื่อนข้อมูลเรียกว่า ชิฟท์รีจิสเตอร์
1 โครงสร้างของไบนารีรีจิสเตอร์
จากรูปที่ 17.1  แสดงไบนารีรีจิสเตอร์เบื้องต้นขนาด 4 บิต ประกอบด้วย เจเค ฟลิปฟลอป 4 ตัว ต่อกันแบบคาสเคด
image
รูปที่ 17.1 ชิฟท์รีจิสเตอร์ที่สร้างจาก เจเค ฟลิปฟลอป
2 การแบ่งกลุ่มรีจิสเตอร์
การแบ่งกลุ่มรีจิสเตอร์สามารถแบ่งตามลักษณะการทำงาน และการต่อวงจร สามารถแบ่งออกเป็น 4 กลุ่มใหญ่ๆ ดังนี้
            - แบบอนุกรมเข้า – อนุกรมออก (SISO)
            - แบบอนุกรมเข้า – ขนานออก (SIPO)
            - แบบขนานเข้า – อนุกรมออก (PISO)
       - แบบขนานเข้า – ขนานออก (PIPO)
2.1 แบบอนุกรมเข้า อนุกรมออก
จากรูปที่ 17.2  เป็นชิฟท์รีจิสเตอร์แบบอนุกรมเข้า - อนุกรมออก ข้อมูลแบบอนุกรมจะถูกป้อนเข้าที่อินพุต JA และข้อมูลอนุกรมออกที่เอาต์พุต Q
image
รูปที่ 17.2 วงจรรีจิสเตอร์แบบอนุกรมเข้า - อนุกรมออก
2.2 แบบอนุกรมเข้า ขนานออก
เมื่อนำข้อมูลอินพุตเข้าทีละหลัก แต่นำออกเอาต์พุตออกพร้อมกัน เรียกว่า แบบอนุกรมเข้า - ขนานออก ตัวอย่างวงจรเบื้องต้นดังแสดงในรูปที่ 17.3
image
รูปที่ 17.3 วงจรรีจิสเตอร์แบบอนุกรมเข้า - ขนานออก
จากรูปที่ 17.3  เป็นวงจรรีจิสเตอร์แบบอนุกรมเข้า – ขนานออก ข้อมูลแบบอนุกรมจะถูกป้อนเข้าที่อินพุต JA และข้อมูลขนานออกที่เอาต์พุต QA ถึง Q
2.3 แบบขนานเข้า อนุกรมออก
เมื่อนำข้อมูลอินพุตเข้าพร้อมกัน แต่นำออกที่เอาต์พุตทีละหลัก เรียกว่า แบบขนานเข้า - อนุกรมออก
วงจรชิฟท์รีจิสเตอร์แบบขนานเข้า – อนุกรมออก ชนิดเก็บข้อมูลผ่านเกตควบคุมไปเข้าที่ขาพรีเซ็ตกับเคลียร์ของฟลิปฟลอปแต่ละตัวโดยตรง แสดงดังรูปที่ 17.4
image
image
รูปที่ 17.4 วงจรรีจิสเตอร์แบบขนานเข้า อนุกรมออก ชนิดนำข้อมูลเข้าแบบไม่ต้องเข้าจังหวะ
image
รูปที่ 17.5  วงจรรีจิสเตอร์แบบขนานเข้า อนุกรมออก ชนิดนำข้อมูลเข้าแบบเข้าจังหวะ
2.4 แบบขนานเข้า ขนานออก
เมื่อนำข้อมูลอินพุตเข้าพร้อมกัน และนำข้อมูลออกที่เอาต์พุตพร้อมกัน เรียกว่าแบบขนานเข้า – ขนานออก
image
image
รูปที่ 17.6  วงจรรีจิสเตอร์แบบขนานเข้า ขนานออก
จากรูปที่ 17.6  เป็นวงจรรีจิสเตอร์แบบขนานเข้า – ขนานออก ข้อมูลแบบขนานจะถูกป้อนเข้าที่อินพุต PA ถึง PD ของฟลิปฟลอปทุกตัว และข้อมูลขนานออกที่เอาต์พุต QA ถึง QD
 
3 ไอซีไบนารีรีจิสเตอร์
ไอซีเบอร์ 7495  เป็นไอซีไบนารีรีจิสเตอร์ ขนาด 4 บิต แสดงลอจิกไดอะแกรม ดังรูปที่ 17.7
image
(ก)
รูปที่ 17.7  ไอซีไบนารีรีจิสเตอร์ เลื่อนข้อมูลขวา/ซ้าย
              วงจรลอจิกเกตที่ต่อเพิ่มเติม สามารถควบคุมให้รีจีสเตอร์สามารถเลื่อนข้อมูลไปทางซ้าย หรือ เลื่อนข้อมูลไปทางขวาได้ สำหรับการทำงานในการเลื่อนข้อมูลไปทางซ้าย เอ้าต์พุต Q ของฟลิบฟลอบ ตัวหลังจะต้องต่อย้อนกลับไปยังอินพุตของฟลิบฟลอบตัวหน้า ซึ่งสามารถใช้ไอซีออร์เกตเบอร์ 7432 มาต่อช่วยอีกตัวหนึ่ง และยังทำให้สามารถนำข้อมูลเข้าแบบขนานเข้าสู่รีจีสเตอร์ได้ด้วย
4 การหมุนเวียนข้อมูล (ROTATING  DATA)
เมื่อต้องการจะเลื่อนข้อมูลออกไปจากรีจิสเตอร์ตัวหนึ่งออกไปสู่วงจรอื่นๆ และต้องการให้ รีจิสเตอร์ตัวนั้นยังมีข้อมูลเดิมอยู่ เราสามารถทำได้โดยการหมุนเวียนข้อมูล โดยให้ข้อมูลที่ออกจาก เอาท์พุตตัวสุดท้ายป้อนกลับไปยังอินพุตตัวแรกของรีจิสเตอร์ตัวนั้น ดังแสดงในรูปที่ 17.8
image
รูปที่ 17.8  การหมุนเวียนข้อมูลในรีจิสเตอร์
4.1 การหมุนเวียนข้อมูลแบบธรรมดา
การควบคุมให้รีจิสเตอร์หมุนเวียนข้อมูลแบบธรรมดา ดังวงจรในรูปที่ 17.8 (ก) ทำได้โดยการให้ขาอินพุตควบคุมของเอ็กซ์คลูซีฟออร์เกตเป็นลอจิก “0” ข้อมูลจะถูกเลื่อนออกจากเอาท์พุตตัวสุดท้ายของรีจีสเตอร์แล้ววนเข้าไปสู่อินพุตตัวแรกพร้อมๆกัน ดังแสดงข้อมูลการหมุนเวียนแบบธรรมดาในรูปที่ 17.8 (ข)
4.2    การหมุนเวียนข้อมูลคอมพลีเมนท์
ถ้าต้องการหมุนเวียนข้อมูลแบบคอมพลีเมนท์ โดยข้อมูลใหม่จะเป็นเลขคอมพลีเมนท์ของข้อมูลเดิม ก็ทำได้โดยให้อินพุตควบคุมของเอ็กซ์คลูซีฟออร์เกต ในวงจรรูป 17.8 (ก) เป็นโลจิก “1” ข้อมูลใหม่ที่หมุนเวียนจากเอาท์พุตตัวสุดท้ายไปสู่อินพุตตัวแรกจะถูกคอมพลีเมนท์ ดังแสดงในรูปที่ 17.8 (ค)
5 การส่งถ่ายข้อมูลระหว่างรีจิสเตอร์
ในระบบงานดิจิตอล จำเป็นต้องส่งถ่ายข้อมูลจากรีจิสเตอร์ตัวหนึ่งไปยังรีจิสเตอร์อีกตัวหนึ่ง สามารถทำได้สองวิธี คือ การส่งถ่ายข้อมูลแบบอนุกรม และการส่งถ่ายข้อมูลแบบขนาน ดังแสดงใน รูปที่ 17.9
image
image
รูปที่ 17.9  การส่งถ่ายข้อมูลระหว่างรีจิสเตอร์
(ก) แบบอนุกรม
(ข) แบบขนาน

ไม่มีความคิดเห็น:

แสดงความคิดเห็น